CWE

常见的弱点枚举

一个由社区开发的软件&硬件缺陷类型的列表

新CWE吗?点击这里!
CWE最重要的硬件的弱点
CWE最危险的弱点
>CWE列表> CWE -个人字典定义(4.12)
ID

cwe - 1223:写一次比赛条件属性

弱点ID: 1223
抽象:基地
结构:简单的
视图定制的信息:
的用户感兴趣的更多的概念方面的一个弱点。例如:教育者,技术作家和项目/项目经理。 用户关心的实际应用和细节的本质弱点以及如何预防它的发生。例子:工具开发人员、安全人员、pen-testers事件反应分析师。 对于用户映射一个问题CWE / CAPEC id,即。,找到最合适的CWE为一个特定的问题(例如,CVE记录)。例如:工具开发人员、安全人员。 用户希望看到所有可用的信息CWE / CAPEC条目。 为用户谁想要定制显示细节。
×

编辑自定义过滤器


+描述
写一次寄存器在硬件设计是由一个不可信的可编程软件组件比可信软件组件,导致竞态条件的问题。
+扩展描述

集成电路和硬件IP软件可编程控制和设置通常存储在寄存器电路。这些寄存器的内容必须在硬件复位初始化定义默认值硬编码的硬件描述语言(HDL)代码的硬件单元。共同安全保护方法用于保护寄存器设置修改的软件让他们写一次。这意味着硬件实现只允许写这种登记一次,和他们成为只读后由软件写一次。这是非常有用的,允许初始引导软件配置系统设置安全价值观而阻止运行时软件修改这样的硬件设置。

实现问题等硬件设计的控制可以公开这些寄存器竞态条件的安全缺陷。例如,考虑一个硬件设计有两个不同的软件/固件模块并行执行。信任一个模块(模块),另一个是不可信(模块B)。在这个设计可能模块B发送写周期之前写一次寄存器模块A自场写一次程序价值模块将被忽略,抢先B值编程模块将使用硬件。

+的关系
部分帮助此表显示了弱点和高水平类别相关的这一弱点。这些关系被定义为ChildOf、ParentOf MemberOf,并洞察类似项目可能存在的在较高和较低的抽象级别。此外,关系如PeerOf和CanAlsoBe定义显示类似的弱点,用户可能想要探索。
+相关的视图”研究概念”(cwe - 1000)
自然 类型 ID 的名字
ChildOf 类类——一个弱点,描述的是一个非常抽象的时尚,通常独立于任何特定的语言或技术。更具体的比一个支柱的弱点,但更普遍的基本的弱点。类级别的弱点通常描述问题的1或2以下维度:行为、财产和资源。 362年 使用共享资源与不当同步并发执行(“竞争条件”)
部分帮助此表显示了弱点和高水平类别相关的这一弱点。这些关系被定义为ChildOf、ParentOf MemberOf,并洞察类似项目可能存在的在较高和较低的抽象级别。此外,关系如PeerOf和CanAlsoBe定义显示类似的弱点,用户可能想要探索。
+相关观点“硬件设计”(cwe - 1194)
自然 类型 ID 的名字
MemberOf 类别类别——CWE条目包含一组其他条目,共享一个共同的特点。 1199年 一般的电路与逻辑设计问题
+模式的介绍
部分帮助不同模式的引入提供了信息如何以及何时可以纳入这一弱点。生命周期的阶段识别点的介绍可能发生,而相关的报告提供了一个典型的场景介绍在给定的阶段。
阶段 请注意
架构和设计 这个弱点可以出现在设计使用寄存器写一次属性与两个或两个以上的软件/固件模块并行执行不同程度的信任。
+适用的平台
部分帮助该清单显示了给定的弱点可以可能的地区出现。这些可能是为特定命名的语言,操作系统,架构、模式、技术、或一个类这样的平台。列出的平台是随着频率的出现疲态实例。

语言

Verilog患病率(待定)

硬件描述语言(VHDL)患病率(待定)

技术

类:系统芯片患病率(待定)

+常见的后果
部分帮助这个表指定不同的个人相关后果的弱点。标识应用程序范围的安全领域侵犯,而影响了负面的技术影响,如果敌人成功利用这个弱点。可能提供的信息如何可能的具体结果预计将看到列表中相对于其它后果。例如,可能会有高可能性,缺点将被利用来实现一定的影响,但较低的可能性,它将被利用来实现不同的影响。
范围 影响 可能性
访问控制

技术的影响:旁路保护机制

系统配置不能被编程以安全的方式。
+示范例子

示例1

考虑设计模块系统verilog代码示例所示。register_write_once_example模块注册的一个例子,写一次字段定义。0场捕获write_once_status值。

(坏的代码)
例如语言:Verilog
模块register_write_once_example
(
输入15:0 Data_in,
输入时钟,
输入ip_resetn,
输入global_resetn,
输入写,
输出reg 15:0 Data_out
);

reg Write_once_status;

总是@ (posedge Clk或negedge ip_resetn)
如果(~ ip_resetn)
开始
Data_out < = 16 'h0000;
Write_once_status < = 1 'b0;
结束
else if(写& ~ Write_once_status)
开始
Data_out < = 16 'hfffe Data_in &;/ /输入数据写入寄存器后屏蔽位0
Write_once_status < = 1 'b1;/ /写一次状态设置后第一次写。
结束
else if(~写)
开始
Data_out [15:1] < = Data_out [15:1];
Data_out [0] < = Write_once_status;
结束
endmodule

第一系统组件发送一个写这个寄存器可以循环程序的价值。这可能导致竞态条件的安全问题在SoC设计中,如果一个不可信的代理运行在并行系统的可信组件,预计项目登记。

(好的代码)
可信固件或软件试图设置写一次:
  • 必须确认Write_once_status(0)值为零,在编程之前注册。如果另一个代理程序注册之前,那么Write_once_status将一个值。
  • 写入寄存器之后,可信软件可以发出读确认有效的设置程序。
+潜在的缓解措施

阶段:体系结构和设计

在硬件设计注册写一次或粘性的所有字段必须被适当的配置。

测试阶段:

测试阶段应该使用自动化工具来测试值不是可重复编程的,写一次领域锁定写0。
+会员资格
部分帮助这MemberOf关系表显示额外CWE类别和视图引用这个弱点作为成员。这些信息通常是有用的在理解一个弱点符合外部信息源的上下文中。
自然 类型 ID 的名字
MemberOf 类别类别——CWE条目包含一组其他条目,共享一个共同的特点。 1401年 综合分类:并发性
+脆弱性映射笔记

用法:允许

(CWE ID可以用来映射到现实世界的漏洞)

原因:可接受的使用

理由是:

这CWE条目底部的抽象级别,这是一个首选的抽象级别映射到漏洞的根本原因。

评论:

仔细阅读这两个名称和描述,以确保此映射是一个适当的配合。不要试图“力”映射到底层基础/变体只是遵守这首选的抽象级别。
+内容的历史
+提交
提交日期 提交者 组织
2019-12-12
(CWE 4.0, 2020-02-24)
Arun Kanuparthi Hareesh Khattri Parbati Kumar吗哪,哈Kumar V Mangipudi 英特尔公司
+修改
修改日期 修饰符 组织
2020-08-20 CWE内容团队 主教法冠
更新Related_Attack_Patterns
2022-10-13 CWE内容团队 主教法冠
更新Demonstrative_Examples
2023-04-27 CWE内容团队 主教法冠
更新的关系
2023-06-29 CWE内容团队 主教法冠
更新Mapping_Notes
页面最后更新:2023年6月29日